• ulu_fanua_01

MOXA ICS-G7526A-2XG-HV-HV-T Gigabit Pulea Suiga Ethernet

Fa'amatalaga Puupuu:

Fa'agaioiga masini ma felauaiga otometi talosaga e tu'ufa'atasia fa'amaumauga, leo, ma vitiō, ma e mana'omia ai le maualuga o le fa'atinoga ma le fa'atuatuaina maualuga.O le ICS-G7526A Fa'asologa o suiga pito i tua o le Gigabit ua fa'apipi'iina i 24 Gigabit Ethernet ports fa'atasi ai ma le 2 10G Ethernet ports, ma fa'apena lelei mo feso'ota'iga tau pisinisi tetele.


Fa'amatalaga Oloa

Faailoga o oloa

Folasaga

Fa'agaioiga masini ma felauaiga otometi talosaga e tu'ufa'atasia fa'amaumauga, leo, ma vitiō, ma e mana'omia ai le maualuga o le fa'atinoga ma le fa'atuatuaina maualuga.O le ICS-G7526A Fa'asologa o suiga pito i tua o le Gigabit ua fa'apipi'iina i 24 Gigabit Ethernet ports fa'atasi ai ma le 2 10G Ethernet ports, ma fa'apena lelei mo feso'ota'iga tau pisinisi tetele.
Ole malosi atoatoa ole Gigabit ole ICS-G7526A e fa'ateleina ai le bandwidth e tu'uina atu ai le maualuga o le fa'atinoga ma le mafai ona vave fa'aliliuina le tele o vitiō, leo, ma fa'amaumauga i luga o se feso'otaiga.O ki e leai ni ili e lagolago ai le Turbo Ring, Turbo Chain, ma le RSTP/STP redundancy technologies, ma e sau fa'atasi ma se sapalai eletise e fa'ateteleina e fa'atuputeleina ai le fa'atuatuaina o le faiga ma le avanoa o lau ivi tua'oi feso'otaiga.

Fa'amatalaga

Vaega ma Faamanuiaga
24 Gigabit Ethernet ports fa'aopoopo i luga ole 2 10G Ethernet ports
E o'o atu i le 26 feso'ota'iga alava mata (sFP slots)
E leai se pa'u, -40 i le 75°C le tele o le vevela fa'aogaina (T fa'ata'ita'iga)
Turbo Ring ma Turbo Chain (taimi toe fa'aleleia <20 ms @ 250 ki), ma STP/RSTP/MSTP mo feso'ota'iga redudancy
Tu'u'ese'ese mea fa'aoga eletise fa'atasi ma le lautele o le 110/220 VAC fa'aogaina o le eletise
Lagolago le MXstudio mo le faigofie, faʻaalia le faʻatautaia o fesoʻotaʻiga pisinisi
V-ON™ fa'amautinoaina fa'amaumauga fa'asalalau fa'asalalau-millisecond-level ma toe fa'aleleia feso'otaiga vitiō

Vaega Faaopoopo ma Faamanuiaga

Fa'atonu laina laina (CLI) mo le fa'atulagaina vave o galuega fa'afoe tetele
DHCP Filifiliga 82 mo le tuʻuina atu o tuatusi IP ma faiga faʻavae eseese
Lagolagoina EtherNet/IP, PROFINET, ma Modbus TCP protocols mo le puleaina ma le mataituina o masini
IGMP snooping ma GMRP mo le faʻamamaina o fefaʻatauaiga telecast
IEEE 802.1Q VLAN ma le GVRP protocol e faʻamalieina fuafuaga o fesoʻotaʻiga
Mea fa'akomepiuta mo le tu'ufa'atasia o masini ma fa'ailo ma feso'ota'iga IP
Fa'ato'a, lua fa'aoga eletise AC
Lapataiga otometi e ala ile imeli ma le fa'amomoli galuega
QoS (IEEE 802.1p/1Q ma TOS/DiffServ) e faʻateleina ai le faʻatonuga
Port Trunking mo le faʻaogaina o le bandwidth sili ona lelei
TACACS+, SNMPv3, IEEE 802.1X, HTTPS, ma SSH e faʻaleleia ai le saogalemu o fesoʻotaiga.
SNMPv1/v2c/v3 mo tulaga eseese o le pulega o fesootaiga
RMON mo le mataʻituina o fesoʻotaʻiga faʻamalosi ma lelei
Puleaina o le bandwidth e puipuia ai le tulaga o feso'ota'iga le mautinoa
Loka le taulaga mo le polokaina o avanoa e le faʻatagaina e faʻavae ile tuatusi MAC
Fa'ata o le uafu mo le fa'aogaina o le initaneti
Fa'ato'a, lua fa'aoga eletise AC

MOXA ICS-G7526A-2XG-HV-HV-T Avanoa Faʻataʻitaʻiga

Fa'ata'ita'iga 1 MOXA ICS-G7526A-2XG-HV-HV-T
Fa'ata'ita'iga 2 MOXA ICS-G7526A-8GSFP-2XG-HV-HV-T
Fa'ata'ita'iga 3 MOXA ICS-G7526A-20GSFP-2XG-HV-HV-T

  • Muamua:
  • Sosoo ai:

  • Tusi lau savali iinei ma lafo mai ia i matou

    oloa fa'atatau

    • MOXA EDS-2018-ML-2GTXSFP-T Gigabit Le Pulea Ethernet Suiga

      MOXA EDS-2018-ML-2GTXSFP-T Gigabit Le Pulea Et...

      Faiga ma Faamanuiaga 2 Gigabit uplinks ma fetuutuunai mamanu atinaʻe mo le maualuga-bandwidth faʻapipiʻi faʻamaumaugaQoS lagolagoina e faʻatautaia faʻamatalaga taua i feoaiga mamafa Lapataiga faʻaulufale lapataʻiga mo le paʻu o le eletise ma le faʻailoga o le taulaga IP30-fuaina fale uʻamea Toe faʻaogaina lua 12/24/48 VDC eletise - 40 i le 75°C fa'aogaina o le vevela (-T fa'ata'ita'iga) Fa'amatalaga ...

    • MOXA EDS-205A-S-SC Suiga Ethernet Alamanuia le pulea

      MOXA EDS-205A-S-SC Le Pulea Alamanuia Etherne...

      Vaega ma Faamanuiaga 10/100BaseT(X) (RJ45 connector), 100BaseFX (multi/single-mode, SC poʻo le ST connector) Fa'alua fa'alua 12/24/48 VDC fa'aoga eletise IP30 fale alumini Fa'ailoga meafaigaluega gaoa e fetaui lelei mo nofoaga lamatia (Vaega 1 Div. 2 / ATEX Zone 2), felauaiga (NEMA TS2 / EN 50121-4), ma siosiomaga o le gataifale (DNV / GL / LR / ABS / NK) -40 i le 75 ° C faʻaogaina o le vevela (-T faʻataʻitaʻiga) . ..

    • MOXA EDS-208A-M-SC 8-taulaga Compact Unmanaged Alamanuia Ethernet Suiga

      MOXA EDS-208A-M-SC 8-taulaga Fa'atasi e Le'o Fa'afoeina Fa'atasi...

      Vaega ma Faamanuiaga 10/100BaseT(X) (RJ45 connector), 100BaseFX (multi/single-mode, SC poʻo le ST connector) Fa'alua fa'alua 12/24/48 VDC fa'aoga eletise IP30 fale alumini Fa'ailoga meafaigaluega gaoa e fetaui lelei mo nofoaga lamatia (Vaega 1 Div. 2/ATEX Sone 2), felauaiga (NEMA TS2/EN 50121-4/e-Mark), ma si'osi'omaga o le gataifale (DNV/GL/LR/ABS/NK) -40 i le 75°C le tele o le vevela (- T fa'ata'ita'iga)...

    • MOXA ioLogik E1212 Pulea Aoao Ethernet mamao I/O

      MOXA ioLogik E1212 Universal Controllers Ethern...

      Faiga ma Fa'amanuiaga Fa'asinomaga Modbus TCP Slave e mafai ona fa'aogaina e le tagata Lagolago le API MAMAFAGA mo talosaga IIoT Lagolagoina le EtherNet/IP Adapter 2-taulaga Ethernet ki mo topologies daisy-chain Fa'asaoina le taimi ma tau uaea ma feso'ota'iga a tupulaga i tupulaga Feso'ota'iga malosi ma MX-AOPC UA E Lagolagoina e le Auaunaga le SNMP v1/v2c Fa'afaigofie le fa'atinoina ma le fa'atulagaina ma le fa'aoga ioSearch Fa'aoga faauo e ala ile su'esu'ega i luga ole laiga Simp...

    • MOXA IEX-402-SHDSL Alamanuia Pulea Ethernet Extender

      MOXA IEX-402-SHDSL Alamanuia Pulea Ethernet ...

      Fa'atomuaga O le IEX-402 ose fa'aulufalega Ethernet fa'aulufaleina fa'apisinisi e fa'atulagaina ma le tasi 10/100BaseT(X) ma le tasi DSL uafu.O le Ethernet extender e maua ai se fa'aopoopoga fa'ailoga i luga o uaea apamemea mimilo e fa'atatau i le G.SHDSL po'o le VDSL2 tulaga.E lagolagoina e le masini faʻamaumauga faʻamaumauga e oʻo atu i le 15.3 Mbps ma se mamao faʻasalalau umi e oʻo atu i le 8 km mo le fesoʻotaʻiga G.SHDSL;mo feso'ota'iga VDSL2, fa'aopoopoina le fua faatatau o fa'amaumauga...

    • MOXA EDS-510A-3SFP Layer 2 Puleaina Alamanuia Ethernet Suiga

      MOXA EDS-510A-3SFP Layer 2 Puleaina Alamanuia E...

      Vaega ma Faamanuiaga 2 Gigabit Ethernet ports mo mama redundant ma 1 Gigabit Ethernet port mo uplink solutionTurbo Ring ma Turbo Chain (taimi toe faʻaleleia <20 ms @ 250 suiga), RSTP/STP, ma MSTP mo fesoʻotaʻiga redundancy TACACS +, SNMPv3, IEEE 802.1X, HTTPS, ma le SSH e faʻaleleia ai le saogalemu o fesoʻotaiga Faʻafaigofie le puleaina o fesoʻotaʻiga e ala ile upega tafaʻilagi, CLI, Telnet/serial console, Windows utility, ma ABC-01 ...