• ulu_fanua_01

MOXA ICS-G7528A-4XG-HV-HV-T 24G + 4 10GbE-taulaga Layer 2 Gigabit atoatoa Pulea Alamanuia Ethernet Suiga

Fa'amatalaga Puupuu:

Fa'agaioiga masini ma felauaiga otometi talosaga e tu'ufa'atasia fa'amaumauga, leo, ma vitiō, ma e mana'omia ai le maualuga o le fa'atinoga ma le fa'atuatuaina maualuga.O le ICS-G7528A Fa'asologa o feso'ota'iga pito i tua o le Gigabit ua fa'apipi'iina i 24 Gigabit Ethernet ports fa'atasi ai ma le 4 10 Gigabit Ethernet ports, ma fa'aogaina lelei mo feso'ota'iga tau pisinisi tetele.

Ole malosi atoatoa ole Gigabit ole ICS-G7528A e fa'atuputeleina ai le bandwidth e tu'uina atu ai le fa'atinoga maualuga ma le gafatia e vave fa'afeiloa'i le tele o vitio, leo, ma fa'amaumauga i luga o se feso'otaiga.O ki e leai ni ili e lagolago ai le Turbo Ring, Turbo Chain, ma le RSTP/STP redundancy technologies, ma e sau fa'atasi ma se sapalai eletise e fa'ateteleina e fa'ateleina ai le fa'atuatuaina o le faiga ma le avanoa o lau ivi tua'oi.


Fa'amatalaga Oloa

Faailoga o oloa

Vaega ma Faamanuiaga

 

24 Gigabit Ethernet ports fa'aopoopo i luga ole 4 10G Ethernet ports

E oo atu i le 28 feso'ota'iga alava mata (sFP slots)

E leai se pa'u, -40 i le 75°C le tele o le vevela fa'aogaina (T fa'ata'ita'iga)

Turbo Ring ma Turbo Chain (taimi toe maua <20 ms @ 250 ki)1, ma le STP/RSTP/MSTP mo le toe fa'aogaina o feso'otaiga

Tu'u'ese'ese mea fa'aoga eletise fa'atasi ma le lautele o le 110/220 VAC fa'aogaina o le eletise

Lagolago le MXstudio mo le faigofie, faʻaalia le faʻatautaia o fesoʻotaʻiga pisinisi

V-ON™ fa'amautinoaina fa'amaumauga fa'asalalau fa'asalalau-millisecond-level ma toe fa'aleleia feso'otaiga vitiō

Vaega Faaopoopo ma Faamanuiaga

 

• Fa'atonu laina feso'ota'iga (CLI) mo le fa'atulagaina vave o galuega fa'afoe tetele
• Filifiliga DHCP 82 mo le tu'uina atu o tuatusi IP ma faiga fa'avae eseese
• Lagolagoina EtherNet/IP, PROFINET, ma Modbus TCP protocols mo le puleaina ma le mataituina o masini
• IGMP snooping ma GMRP mo le faamamaina o felauaiga telecast
•IEEE 802.1Q VLAN ma le GVRP protocol e fa'amalieina fuafuaga o feso'ota'iga
•QoS (IEEE 802.1p/1Q ma le TOS/DiffServ) e fa'ateleina ai le fa'amoemoe.
• Lapata'iga otometi e ala ile imeli ma le fa'amomoli galuega
• Mea fa'akomepiuta mo le tu'ufa'atasia o masini ma fa'ailo ma feso'ota'iga IP
• Port Trunking mo le fa'aogaina lelei o le bandwidth
•TACACS+, SNMPv3, IEEE 802.1X, HTTPS, ma SSH e faʻaleleia ai le saogalemu o fesoʻotaiga.
• Lisi fa'atonu avanoa (ACL) fa'ateleina le fetuutuuna'i ma le saogalemu o le pulega o feso'otaiga (ICS-G7800A Series)
•SNMPv1/v2c/v3 mo tulaga eseese o le pulega o fesootaiga
• RMON mo le mata'ituina o feso'otaiga vavave ma lelei
• Pulea o le Bandwidth e puipuia ai tulaga le mautinoa o feso'otaiga
• Loka uafu galuega mo le poloka avanoa le faatagaina e faavae ile tuatusi MAC
• Port mirroring mo debugging lugalaina

Feso'ota'iga Ethernet

10/100/1000BaseT(X) Taulaga (RJ45 so'oga)

 

ICS-G7528A-4XG-HV-HV-T: 20

ICS-G7528A-8GSFP-4XG-HV-HV-T: 12

 

100/1000BaseSFP Taulaga

 

ICS-G7528A-8GSFP-4XG-HV-HV-T: 8

ICS-G7528A-20GSFP-4XG-HV-HV-T: 20

 

10GbE SFP+ Slots

 

4
Taulaga Combo (10/100/1000BaseT(X) poʻo le 100/

1000BaseSFP+)

 

4
Tulaga IEEE 802.1D-2004 mo le Spanning Tree Protocol

IEEE 802.1p mo Vasega o Auaunaga

IEEE 802.1Q mo VLAN Tagging

IEEE 802.1s mo le Tele Spanning Tree Protocol

IEEE 802.1wmo le Fa'akomepiuta La'au Fa'aola vave

IEEE 802.1X mo le faʻamaoni

IEEE802.3mo le 10BaseT

IEEE 802.3ab mo 1000BaseT(X)

IEEE 802.3ad mo Port Trunkwith LACP

IEEE 802.3u mo 100BaseT(X) ma 100BaseFX

IEEE 802.3x mo le pulea o le tafe

IEEE 802.3z mo 1000BaseSX/LX/LHX/ZX

IEEE 802.3ae mo 10 Gigabit Ethernet

 

 

Parameter Malosi

Malosi'i Ulufale 110 i le 220 VAC, Fa'aopoopo lua mea e fai
Galulue Malolo 85 i le 264 VAC
Ova le uta Puipuia o iai nei Lagolagoina
Puipuiga o le Polarity Reverse Lagolagoina
Ulufale i le taimi nei 1/0.5A@110/220VAC

 

Uiga Faaletino

Fa'ailoga IP IP30
Fua 440 x44x 386.9 mm (17.32 x1.73x15.23 ini)
mamafa 6470g(14.26 lb)
Fa'apipi'i Fa'amauina fata

Tapulaa o le Siosiomaga

Galulue Vevela -40 i le 75°C (-40 i le 167°F)
Teuga vevela (aofia ai le afifi) -40 i le 85°C (-40 i le 185°F)
Ambient Susū Faatatau 5 ia95%(e le fa'afefe)

MOXA ICS-G7528A-4XG-HV-HV-T Avanoa Faʻataʻitaʻiga

Fa'ata'ita'iga 1 MOXAICS-G7528A-4XG-HV-HV-T
Fa'ata'ita'iga 2 MOXAICS-G7528A-8GSFP-4XG-HV-HV-T
Fa'ata'ita'iga 3 MOXAICS-G7528A-20GSFP-4XG-HV-HV-T

  • Muamua:
  • Sosoo ai:

  • Tusi lau savali iinei ma lafo mai ia i matou

    oloa fa'atatau

    • MOXA NPort 5630-8 Alamanuia Rackmount Serial Device Server

      MOXA NPort 5630-8 Alamanuia Rackmount Serial D...

      Fa'aaliga ma Fa'amanuiaga Fa'ata'atia 19-inisi le tele o le fa'amaufa'ailoga Fa'afaigofie le fa'atulagaina o tuatusi IP fa'atasi ma le LCD panel (e le aofia ai fa'ata'ita'iga lautele-vevela) Fa'atulaga e Telnet, upegatafa'ilagi, po'o fa'aoga Windows Socket modes: TCP server, TCP client, UDP SNMP MIB-II mo le pulega o feso'otaiga. Avanoa maualuga-voltage lautele: 100 i le 240 VAC poʻo le 88 i le 300 VDC lauiloa maualalo-voltage laina: ± 48 VDC (20 i le 72 VDC, -20 i le -72 VDC) ...

    • MOXA EDS-505A 5-taulaga Pulea Alamanuia Ethernet Suiga

      MOXA EDS-505A 5-taulaga Pulea Alamanuia Etherne...

      Fa'aaliga ma Fa'amanuiaga Turbo Ring ma Turbo Chain (taimi toe fa'aleleia <20 ms @ 250 sui), ma STP/RSTP/MSTP mo feso'ota'iga redundancy TACACS +, SNMPv3, IEEE 802.1X, HTTPS, ma SSH e fa'aleleia ai le saogalemu o feso'otaiga Fa'afaigofie le pulea o feso'ota'iga e ala ile upega tafa'ilagi. , CLI, Telnet/serial console, Windows utility, ma ABC-01 Lagolago le MXstudio mo le fa'afaigofieina, fa'atautaia o feso'otaiga fa'apisinisi ...

    • MOXA NPort 5150A Alamanuia General Device Server

      MOXA NPort 5150A Alamanuia General Device Server

      Faiga ma Faamanuiaga Fa'aaogāina o le malosi na'o le 1 W Saosaoa 3-laasaga fa'atūlaga i luga ole laiga Puipuiga si'itia mo le fa'asologa, Ethernet, ma le malosi COM uafu fa'avasegaina ma UDP fa'apipi'i fa'apipi'i fa'apipi'i Fa'apipi'i eletise mo le fa'amautu Fa'atonu COM moni ma TTY aveta'avale mo Windows, Linux. , ma macOS Standard TCP/IP interface ma fa'aoga TCP ma le UDP fa'aogaina auala Feso'ota'i i le 8 TCP 'au ...

    • MOXA EDS-405A-MM-SC Layer 2 Puleaina Alamanuia Ethernet Suiga

      MOXA EDS-405A-MM-SC Layer 2 Puleaina Alamanuia ...

      Fa'aaliga ma Fa'amanuiaga Turbo Ring ma Turbo Chain (taimi toe fa'aleleia<20 ms @ 250 ki), ma RSTP/STP mo feso'ota'iga redundancy IGMP Snooping, QoS, IEEE 802.1Q VLAN, ma VLAN e fa'avae i luga o le taulaga na lagolagoina Fa'afaigofie feso'ota'iga pulega e ala ile 'upega tafa'ilagi, CLI, Telnet/serial console, Windows utility, ma ABC -01 PROFINET poʻo le EtherNet/IP faʻatagaina e ala i le faaletonu (PN poʻo EIP faʻataʻitaʻiga) Lagolago MXstudio mo faigofie, vaʻaia fesoʻotaʻiga manaʻoga...

    • MOXA EDS-208A-MM-SC 8-taulaga Compact Unmanaged Industrial Ethernet Suiga

      MOXA EDS-208A-MM-SC 8-taulaga Fa'atasi e Le'i Puleaina I...

      Vaega ma Faamanuiaga 10/100BaseT(X) (RJ45 connector), 100BaseFX (multi/single-mode, SC poʻo le ST connector) Fa'alua fa'alua 12/24/48 VDC fa'aoga eletise IP30 fale alumini Fa'ailoga meafaigaluega gaoa e fetaui lelei mo nofoaga lamatia (Vaega 1 Div. 2/ATEX Sone 2), felauaiga (NEMA TS2/EN 50121-4/e-Mark), ma si'osi'omaga o le gataifale (DNV/GL/LR/ABS/NK) -40 i le 75°C le tele o le vevela (- T fa'ata'ita'iga)...

    • MOXA MDS-G4028-T Layer 2 Pulea Pulea Alamanuia Ethernet Suiga

      MOXA MDS-G4028-T Layer 2 Puleaina Pisinisi...

      Fa'ailoga ma Fa'amanuiaga Tele fa'aoga fa'aoga 4-taulaga modules mo le sili atu le fa'aogaina Fuafuaga e leai se mea faigaluega mo le fa'aopoopoina ma le fa'afaigofieina pe sui module e aunoa ma le tapuniina o le ki. mo le fa'aogaina i totonu o si'osi'omaga faigata Fa'aoga, fa'aoga i luga ole laiga HTML5 mo se poto masani...