• ulu_fanua_01

Moxa MXconfig Industrial Network Configuration meafaigaluega

Fa'amatalaga Puupuu:

O le MXconfig a Moxa o se fa'aoga fa'avae fa'avae Windows e fa'aaogaina e fa'apipi'i, fa'atulaga, ma tausia le tele o masini Moxa i luga o feso'ota'iga tau pisinisi.O lenei seti o meafaigaluega aoga e fesoasoani i tagata faʻaoga e setiina tuatusi IP o le tele o masini i le kiliki e tasi, faʻapipiʻi le tele o faʻasalalauga ma VLAN faʻatulagaina, faʻaleleia le tele o fesoʻotaʻiga fesoʻotaʻiga o masini Moxa tele, faʻapipiʻi firmware i le tele o masini, auina atu poʻo faʻaulufale mai faila faila, kopi faʻatulagaina seti. i luga o masini, faigofie ona fesoʻotaʻi i upega tafaʻilagi ma Telnet faʻamafanafanaga, ma suʻe le fesoʻotaʻiga masini.MXconfig e tuʻuina atu i masini faʻapipiʻi ma faʻatonu inisinia se auala mamana ma faigofie e faʻapipiʻi tele masini, ma faʻaitiitia lelei le tau faʻatulagaina ma le tausiga.


Fa'amatalaga Oloa

Faailoga o oloa

Vaega ma Faamanuiaga

Mass fa'atonutonu galuega fa'atulagaina fa'atuputeleina le fa'aogaina lelei ma fa'aitiitia le taimi fa'atulagaina
Mass fetuutuunai toe faaluaina e faaitiitia tau faapipiiina
Su'e fa'asologa o feso'ota'iga e fa'aumatia mea sese fa'atulagaina tusi
Configuration va'aiga ma fa'amaumauga mo le toe iloiloga faigofie ma le pulega
Tolu fa'aoga tulaga fa'apitoa e fa'aleleia ai le saogalemu ma le fa'afoega o pulega

Su'esu'eina o Masini ma Fa'atonu Fa'alapotopotoga Vave

E faigofie fa'asalalauga su'esu'ega o feso'ota'iga mo mea uma e lagolagoina e Moxa e fa'afoeina masini Ethernet
Mass network set (e pei o tuatusi IP, faitotoa, ma DNS) faʻapipiʻiina e faʻaitiitia ai le taimi seti
Deployment o galuega tauave pulea tele e faateleina ai le faatulagaga lelei
Security wizard mo le faʻatulagaina faigofie o faʻamaufaʻailoga e fesoʻotaʻi ma le saogalemu
Vaega fa'aopoopo mo fa'avasegaga faigofie
User-friendly uafu filifiliga vaega e maua ai faamatalaga o le taulaga faaletino
VLAN Quick-Add Panel e faʻavaveina le taimi faʻatulagaina
 Faʻapipiʻi le tele o masini i le kiliki tasi e faʻaaoga ai le CLI faʻatinoga

Fa'atonuga vave

Faʻatonu vave: kopi se faʻatulagaga faʻapitoa i le tele o masini ma suia tuatusi IP ile kiliki e tasi

Su'esu'ega Fa'asologa o So'oga

O le su'esu'eina o le fa'asologa o feso'ota'iga e fa'aumatia ai mea sese o le fa'atulagaina o tusi lesona ma aloese mai le motusia, ae maise lava pe a fa'apipi'i fa'asologa o le redundancy, VLAN fa'atulagaina, po'o fa'aleleia atili o le firmware mo se feso'ota'iga i luga ole daisy-chain topology (line topology).
Link Sequence IP seti (LSIP) e faʻamuamua masini ma faʻapipiʻi tuatusi IP e ala ile faʻasologa o fesoʻotaʻiga e faʻaleleia ai le faʻaogaina o le faʻaogaina, aemaise lava ile daisy-chain topology (line topology).


  • Muamua:
  • Sosoo ai:

  • Tusi lau savali iinei ma lafo mai ia i matou

    oloa fa'atatau

    • MOXA NPort W2150A-CN Alamanuia uaealesi masini

      MOXA NPort W2150A-CN Alamanuia uaealesi masini

      Vaega ma Fa'amanuiaga Feso'ota'i masini fa'asologa ma Ethernet i se feso'ota'iga IEEE 802.11a/b/g/n Feso'ota'iga i luga ole laiga i luga ole laiga e fa'aogaina ai le Ethernet po'o le WLAN Fa'aleleia le puipuiga o le si'itia mo le fa'asologa, LAN, ma le malosi Fa'atonu mamao ma le HTTPS, SSH Saogalemu avanoa fa'amatalaga. fa'atasi ai ma le WEP, WPA, WPA2 Ta'amilosaga vave mo le fesuia'i vave otometi i le va o nofoaga avanoa Fa'asao le uafu e leai se initaneti ma fa'amaumauga fa'amaumauga Fa'asologa e lua mea fa'aoga eletise (1 siku-ituaiga pow...

    • MOXA EDS-516A-MM-SC 16-taulaga Pulea Alamanuia Ethernet Suiga

      MOXA EDS-516A-MM-SC 16-taulaga Pulea Alamanuia ...

      Fa'aaliga ma Fa'amanuiaga Turbo Ring ma Turbo Chain (taimi toe fa'aleleia <20 ms @ 250 ki), ma STP/RSTP/MSTP mo feso'ota'iga redundancyTACACS +, SNMPv3, IEEE 802.1X, HTTPS, ma le SSH e fa'aleleia ai le saogalemu o feso'otaiga Fa'afaigofie le pulea o feso'otaiga e ala ile upega tafa'ilagi, CLI, Telnet/serial console, Windows utility, ma ABC-01 Lagolago le MXstudio mo le faigofie, vaʻaia faʻatautaia fesoʻotaʻiga falegaosimea ...

    • MOXA IM-6700A-8SFP Fast Industrial Ethernet Module

      MOXA IM-6700A-8SFP Fast Industrial Ethernet Module

      Fa'aaliga ma Fa'amanuiaga Fuafuaga fa'apitoa e mafai ai ona e filifili mai le tele o fa'asalalauga tu'ufa'atasia Ethernet Interface 100BaseFX Ports (multi-mode SC connector) IM-6700A-2MSC4TX: 2IM-6700A-4MSC2TX: 4 IM-6700A-6MSC: 6 100BaseFX auala ST connector) IM-6700A-2MST4TX: 2 IM-6700A-4MST2TX: 4 IM-6700A-6MST: 6 100BaseF...

    • MOXA EDS-208A-M-SC 8-taulaga Compact Unmanaged Alamanuia Ethernet Suiga

      MOXA EDS-208A-M-SC 8-taulaga Fa'atasi e Le'o Fa'afoeina Fa'atasi...

      Vaega ma Faamanuiaga 10/100BaseT(X) (RJ45 connector), 100BaseFX (multi/single-mode, SC poʻo le ST connector) Fa'alua fa'alua 12/24/48 VDC fa'aoga eletise IP30 fale alumini Fa'ailoga meafaigaluega gaoa e fetaui lelei mo nofoaga lamatia (Vaega 1 Div. 2/ATEX Sone 2), felauaiga (NEMA TS2/EN 50121-4/e-Mark), ma si'osi'omaga o le gataifale (DNV/GL/LR/ABS/NK) -40 i le 75°C le tele o le vevela (- T fa'ata'ita'iga)...

    • MOXA IKS-G6824A-8GSFP-4GTXSFP-HV-HV-T 24G-taulaga Layer 3 Gigabit atoatoa Managed Industrial Ethernet Switch

      MOXA IKS-G6824A-8GSFP-4GTXSFP-HV-HV-T 24G-taulaga ...

      Vaega ma Fa'amanuiaga Layer 3 fa'aola feso'ota'i le tele o vaega LAN 24 Gigabit Ethernet ports E o'o atu i le 24 feso'ota'iga fibre opitika (SFP slots) E leai se pa'u, -40 i le 75°C fa'aogaina le vevela (T models) Turbo Ring ma Turbo Chain (taimi toe fa'aleleia.<20 ms @ 250 ki), ma STP/RSTP/MSTP mo feso'ota'iga redundansi Tu'uesea fa'alumaina fa'aoga eletise fa'atasi ai ma le lautele 110/220 VAC le tele o le sapalai eletise Lagolago MXstudio mo e...

    • MOXA ioLogik E1212 Pulea Aoao Ethernet mamao I/O

      MOXA ioLogik E1212 Universal Controllers Ethern...

      Faiga ma Fa'amanuiaga Fa'asinomaga Modbus TCP Slave e mafai ona fa'aogaina e le tagata Lagolago le API MAMAFAGA mo talosaga IIoT Lagolagoina le EtherNet/IP Adapter 2-taulaga Ethernet ki mo topologies daisy-chain Fa'asaoina le taimi ma tau uaea ma feso'ota'iga a tupulaga i tupulaga Feso'ota'iga malosi ma MX-AOPC UA E Lagolagoina e le Auaunaga le SNMP v1/v2c Fa'afaigofie le fa'atinoina ma le fa'atulagaina ma le fa'aoga ioSearch Fa'aoga faauo e ala ile su'esu'ega i luga ole laiga Simp...